High-Performance In-Memory XNOR Computing: A 65 nm 12T SRAM Architecture for Neural Network Acceleration
Received: 23 April 2025 | Revised: 13 May 2025 | Accepted: 1 June 2025 | Online: 13 June 2025
Corresponding author: Linh Tran
Abstract
This paper presents a 64×16 XNOR-SRAM array in 65nm CMOS technology for In-Memory Computing (IMC), designed to accelerate deep neural networks with low latency and high-power efficiency. Using a 12-transistor bitcell, the architecture performs XNOR-and-Accumulate (XAC) operations within the SRAM, reducing data movement. Cadence Spectre simulations show a 342.67 ps delay and 901.133 µW power consumption at 1.2 V, with robust ternary and binary operation. A flash Analog-to-Digital Converter (ADC) and an analog multiplexer enhance precision, despite minor nonlinearities from transistor mismatches. Compared to prior designs, the proposed XNOR-SRAM offers competitive latency for edge AI applications.
Keywords:
In-Memory Computing (IMC), SRAM, Deep Neural Network (DNN), low latency, power efficiencyDownloads
References
P. Mannocci et al., "In-memory computing with emerging memory devices: Status and outlook," APL Machine Learning, vol. 1, no. 1, Feb. 2023, Art. no. 010902.
H. Bao et al., "Toward memristive in-memory computing: principles and applications," Frontiers of Optoelectronics, vol. 15, no. 1, May 2022, Art. no. 23.
G. Pedretti and D. Ielmini, "In-Memory Computing with Resistive Memory Circuits: Status and Outlook," Electronics, vol. 10, no. 9, May 2021, Art. no. 1063.
X. Si et al., "A Local Computing Cell and 6T SRAM-Based Computing-in-Memory Macro With 8-b MAC Operation for Edge AI Chips," IEEE Journal of Solid-State Circuits, vol. 56, no. 9, pp. 2817–2831, Sep. 2021.
N. Alnatsheh, Y. Kim, J. Cho, and K. K. Choi, "A Novel 8T XNOR-SRAM: Computing-in-Memory Design for Binary/Ternary Deep Neural Networks," Electronics, vol. 12, no. 4, Feb. 2023, Art. no. 877.
A. Gundrapally, N. Alnatsheh, and K. K. Choi, "Novel Low-Power Computing-In-Memory (CIM) Design for Binary and Ternary Deep Neural Networks by Using 8T XNOR SRAM," Electronics, vol. 13, no. 23, Dec. 2024, Art. no. 4828.
H. Tagata, T. Sato, and H. Awano, "Double MAC on a Cell: A 22-nm 8T-SRAM-Based Analog In-Memory Accelerator for Binary/Ternary Neural Networks Featuring Split Wordline," IEEE Open Journal of Circuits and Systems, vol. 5, pp. 328–340, 2024.
S. Mittal, G. Verma, B. Kaushik, and F. A. Khanday, "A survey of SRAM-based in-memory computing techniques and applications," Journal of Systems Architecture, vol. 119, Oct. 2021, Art. no. 102276.
G. Desoli et al., "16.7 A 40-310TOPS/W SRAM-Based All-Digital Up to 4b In-Memory Computing Multi-Tiled NN Accelerator in FD-SOI 18nm for Deep-Learning Edge Applications," in 2023 IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 2023, pp. 260–262.
S. Yin, Z. Jiang, J.-S. Seo, and M. Seok, "XNOR-SRAM: In-Memory Computing SRAM Macro for Binary/Ternary Deep Neural Networks," IEEE Journal of Solid-State Circuits, vol. 55, no. 6, pp. 1733–1743, Jun. 2020.
M. Ali, A. Jaiswal, S. Kodge, A. Agrawal, I. Chakraborty, and K. Roy, "IMAC: In-Memory Multi-Bit Multiplication and ACcumulation in 6T SRAM Array," IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 67, no. 8, pp. 2521–2531, Aug. 2020.
J. Zhang et al., "In-Memory Multibit Multiplication Based on Bitline Shifting," IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 69, no. 2, pp. 354–358, Feb. 2022.
A. Biswas and A. P. Chandrakasan, "CONV-SRAM: An Energy-Efficient SRAM With In-Memory Dot-Product Computation for Low-Power Convolutional Neural Networks," IEEE Journal of Solid-State Circuits, vol. 54, no. 1, pp. 217–230, Jan. 2019.
H. Valavi, P. J. Ramadge, E. Nestler, and N. Verma, "A Mixed-Signal Binarized Convolutional-Neural-Network Accelerator Integrating Dense Weight Storage and Multiplication for Reduced Data Movement," in 2018 IEEE Symposium on VLSI Circuits, Honolulu, HI, USA, 2018, pp. 141–142.
W.-S. Khwa et al., "A 65nm 4Kb algorithm-dependent computing-in-memory SRAM unit-macro with 2.3ns and 55.8TOPS/W fully parallel product-sum operation for binary DNN edge processors," in 2018 IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 2018, pp. 496–498.
J. Wang et al., "14.2 A Compute SRAM with Bit-Serial Integer/Floating-Point Operations for Programmable In-Memory Vector Acceleration," in 2019 IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 2019, pp. 224–226.
J. Seo et al., "A 45nm CMOS neuromorphic chip with a scalable architecture for learning in networks of spiking neurons," in 2011 IEEE Custom Integrated Circuits Conference, San Jose, CA, USA, 2011, pp. 1–4.
Z. Wei, R. Qin, and F. You, "A 6-bit 2GS/s Flash ADC with Low Power Consumption," in 2022 International Conference on Microwave and Millimeter Wave Technology, Harbin, China, 2022, pp. 1–3.
J. R. Rusli, S. Shafie, R. M. Sidek, H. A. Majid, W. Z. W. Hassan, and M. A. Mustafa, "Optimized low voltage low power dynamic comparator robust to process, voltage and temperature variation," Indonesian Journal of Electrical Engineering and Computer Science, vol. 17, no. 2, pp. 783–792, Feb. 2020.
B. Goll and H. Zimmermann, "A Comparator With Reduced Delay Time in 65-nm CMOS for Supply Voltages Down to 0.65 V," IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 56, no. 11, pp. 810–814, Nov. 2009.
B. Wicht, T. Nirschl, and D. Schmitt-Landsiedel, "Yield and speed optimization of a latch-type voltage sense amplifier," IEEE Journal of Solid-State Circuits, vol. 39, no. 7, pp. 1148–1158, Jul. 2004.
M. Kavitha, S. Akhila, and A. Kannan, "Design and Implementation of a Second Order Continuous-Time ΣΔ Modulator for ECG Signal Acquisition," Engineering, Technology & Applied Science Research, vol. 13, no. 1, pp. 10128–10133, Feb. 2023.
S. Kumar, M. K. Suman, and K. L. Baishnab, "A novel approach to thermometer-to-binary encoder of flash ADCs-bubble error correction circuit," in 2014 2nd International Conference on Devices, Circuits and Systems, Coimbatore, India, 2014, pp. 1–6.
Y. Gupta and S. Saini, "Thermometer to Gray Encoders," in Performance Optimization Techniques in Analog, Mixed-Signal, and Radio-Frequency Circuit Design, M. Fakhfakh, E. Tlelo-Cuautle, M. E. Fino, and S. Saini, Eds. Hershey, PA, USA: IGI Global Scientific Publishing, 2015, pp. 323–335.
B. L. Dokic, "A Review on Energy Efficient CMOS Digital Logic," Engineering, Technology & Applied Science Research, vol. 3, no. 6, pp. 552–561, Dec. 2013.
Downloads
How to Cite
License
Copyright (c) 2025 Doanh Bui Le Quoc, Phuoc Luan Vo, Phuc Nguyen Phan Thien, Linh Tran

This work is licensed under a Creative Commons Attribution 4.0 International License.
Authors who publish with this journal agree to the following terms:
- Authors retain the copyright and grant the journal the right of first publication with the work simultaneously licensed under a Creative Commons Attribution License that allows others to share the work with an acknowledgement of the work's authorship and initial publication in this journal.
- Authors are able to enter into separate, additional contractual arrangements for the non-exclusive distribution of the journal's published version of the work (e.g., post it to an institutional repository or publish it in a book), with an acknowledgement of its initial publication in this journal.
- Authors are permitted and encouraged to post their work online (e.g., in institutional repositories or on their website) after its publication in ETASR with an acknowledgement of its initial publication in this journal.