Design and Comparative Analysis of High Speed and Low Power ALU Using RCA and Sklansky Adders for High-Performance Systems

Authors

  • A. Alrashdi Department of Electrical Engineering, University of Hail, Saudi Arabia
  • M. I. Khan Department of Electrical Engineering, College of Engineering, University of Hail, Saudi Arabia

Abstract

This study examines how different initial design decisions affect the area, timing, and power of technology-mapped designs. ASIC design flow, tools used during the flow, and the factors to consider to maximize the performance and power ratio are discussed. The ALU (Arithmetic Logic Unit) is a fundamental part of all processors. In this study, two ALUs were implemented using two different types of adder circuits: a Ripple Carry Adder (RCA) and a Sklansky adder. The Cadence EDA tools were used for the implementation. A comparative analysis was conducted for the two designed ALUs in terms of area, power, and timing analysis. The ALU design was also used as an example to examine the whole workflow front-end wise by constructing a block schematic and back-end wise by floorplanning, placing, and routing the physical design.

Keywords:

arithmetic logic unit (ALU), ripple carry adder, sklansky adder, VHDL

Downloads

Download data is not yet available.

References

M. D. Dean and K. Kockelman, "Our self-driving future will be shaped by policies of today," Nature Electronics, vol. 5, no. 1, pp. 2–4, Jan. 2022. DOI: https://doi.org/10.1038/s41928-021-00708-4

F. F. dos Santos et al., "Reduced Precision DWC: An Efficient Hardening Strategy for Mixed-Precision Architectures," IEEE Transactions on Computers, vol. 71, no. 3, pp. 573–586, Mar. 2022. DOI: https://doi.org/10.1109/TC.2021.3058872

T. Nguyen and A. McCaskey, "Enabling Pulse-Level Programming, Compilation, and Execution in XACC," IEEE Transactions on Computers, vol. 71, no. 3, pp. 547–558, Mar. 2022. DOI: https://doi.org/10.1109/TC.2021.3057166

L. Ye et al., "The Challenges and Emerging Technologies for Low-Power Artificial Intelligence IoT Systems," IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 68, no. 12, pp. 4821–4834, Sep. 2021. DOI: https://doi.org/10.1109/TCSI.2021.3095622

H. Asadi Dereshgi, H. Dal, and M. Z. Yildiz, "Piezoelectric micropumps: state of the art review," Microsystem Technologies, vol. 27, no. 12, pp. 4127–4155, Sep. 2021. DOI: https://doi.org/10.1007/s00542-020-05190-0

M. Kim, M. Liu, L. R. Everson, and C. H. Kim, "An Embedded nand Flash-Based Compute-In-Memory Array Demonstrated in a Standard Logic Process," IEEE Journal of Solid-State Circuits, vol. 57, no. 2, pp. 625–638, Oct. 2022. DOI: https://doi.org/10.1109/JSSC.2021.3098671

D. Huang, X. Yang, H. Chen, M. I. Khan, and F. Lin, "A 0.3–3.5 GHz active-feedback low-noise amplifier with linearization design for wideband receivers," AEU - International Journal of Electronics and Communications, vol. 84, pp. 192–198, Oct. 2018. DOI: https://doi.org/10.1016/j.aeue.2017.12.003

A. Mirhoseini et al., "A graph placement methodology for fast chip design," Nature, vol. 594, no. 7862, pp. 207–212, Jun. 2021. DOI: https://doi.org/10.1038/s41586-021-03544-w

C. Merkel, "Device solutions to scientific computing," Nature Electronics, vol. 1, no. 7, pp. 382–383, Jul. 2018. DOI: https://doi.org/10.1038/s41928-018-0108-y

I. L. Markov, J. Hu, and M. C. Kim, "Progress and Challenges in VLSI Placement Research," Proceedings of the IEEE, vol. 103, no. 11, pp. 1985–2003, Aug. 2015. DOI: https://doi.org/10.1109/JPROC.2015.2478963

M. Tang and X. Yao, "A Memetic Algorithm for VLSI Floorplanning," IEEE Transactions on Systems, Man, and Cybernetics, Part B (Cybernetics), vol. 37, no. 1, pp. 62–69, Oct. 2007. DOI: https://doi.org/10.1109/TSMCB.2006.883268

M. Simicic, P. Weckx, B. Parvais, P. Roussel, B. Kaczer, and G. Gielen, "Understanding the Impact of Time-Dependent Random Variability on Analog ICs: From Single Transistor Measurements to Circuit Simulations," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 27, no. 3, pp. 601–610, Mar. 2019. DOI: https://doi.org/10.1109/TVLSI.2018.2878841

M. I. Khan, A. S. Alshammari, B. M. Alshammari, and A. A. Alzamil, "Estimation and Analysis of Higher-Order Harmonics in Advanced Integrated Circuits to Implement Noise-Free Future-Generation Micro- and Nanoelectromechanical Systems," Micromachines, vol. 12, no. 5, May 2021, Art. no. 541. DOI: https://doi.org/10.3390/mi12050541

J. Iannacci, "The WEAF Mnecosystem: a perspective of MEMS/NEMS technologies as pillars of future 6G, tactile internet and super-IoT," Microsystem Technologies, vol. 27, no. 12, pp. 4193–4207, Sep. 2021. DOI: https://doi.org/10.1007/s00542-021-05230-3

V. A. Pedroni, Circuit Design and Simulation with VHDL, second edition. London, UK: MIT Press, 2010.

P. J. Ashenden, The Designer’s Guide to VHDL. Burlington, MA, USA: Morgan Kaufmann, 2008.

J. M. Rabaey, A. P. Chandrakasan, and B. Nikolić, Digital Integrated Circuits: A Design Perspective. Upper Saddle River, NJ, USA: Pearson Education, 2003.

N. H. E. Weste, CMOS VLSI Design: A Circuits and Systems Perspective. Boston, MA, USA: Addison Wesley, 2011.

L. Scheffer, L. Lavagno, and G. Martin, EDA for IC System Design, Verification, and Testing. Boca Raton, FL, USA: CRC Press, 2018. DOI: https://doi.org/10.1201/9781420007947

M. I. Khan and F. Lin, "Impact of transistor model accuracy on harmonic spectra emitted by logic circuits," in 2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), Guilin, China, Jul. 2014, pp. 1–3. DOI: https://doi.org/10.1109/ICSICT.2014.7021316

M. I. Khan and F. Lin, "Comparative analysis and design of harmonic aware low-power latches and flip-flops," in 2014 IEEE International Conference on Electron Devices and Solid-State Circuits, Chengdu, China, Jun. 2014, pp. 1–2. DOI: https://doi.org/10.1109/EDSSC.2014.7061282

R. Shoukat and M. I. Khan, "Design and development of a clip building block system for MEMS," Microsystem Technologies, vol. 24, no. 2, pp. 1025–1031, Oct. 2018. DOI: https://doi.org/10.1007/s00542-017-3453-2

R. Shoukat and M. I. Khan, "Nanotechnology based electrical control and navigation system for worm guidance using electric field gradient," Microsystem Technologies, vol. 24, no. 2, pp. 989–993, Oct. 2018. DOI: https://doi.org/10.1007/s00542-017-3444-3

M. I. Khan, R. Shoukat, K. Mukherjee, and H. Dong, "Analysis of harmonic contents of switching waveforms emitted by the ultra high speed digital CMOS integrated circuits for use in future micro/nano systems applications," Microsystem Technologies, vol. 24, no. 2, pp. 1201–1206, Oct. 2018. DOI: https://doi.org/10.1007/s00542-017-3486-6

M. I. Khan, H. Dong, F. Shabbir, and R. Shoukat, "Embedded passive components in advanced 3D chips and micro/nano electronic systems," Microsystem Technologies, vol. 24, no. 2, pp. 869–877, Oct. 2018. DOI: https://doi.org/10.1007/s00542-017-3586-3

M. I. Khan, A. Qamar, F. Shabbir, and R. Shoukat, "Design, development and implementation of a low power and high speed pipeline A/D converter in submicron CMOS technology," Microsystem Technologies, vol. 23, no. 12, pp. 6005–6014, Sep. 2017. DOI: https://doi.org/10.1007/s00542-017-3550-2

Y. A. Durrani, T. Riesgo, M. I. Khan, and T. Mahmood, "Power analysis approach and its application to IP-based SoC design," COMPEL - The international journal for computation and mathematics in electrical and electronic engineering, vol. 35, no. 3, Jan. 2016. DOI: https://doi.org/10.1108/COMPEL-08-2015-0283

B. L. Dokic, "A Review on Energy Efficient CMOS Digital Logic," Engineering, Technology & Applied Science Research, vol. 3, no. 6, pp. 552–561, Dec. 2013. DOI: https://doi.org/10.48084/etasr.389

M. Dossis, "High-level Synthesis Integrated Verification," Engineering, Technology & Applied Science Research, vol. 5, no. 5, pp. 864–870, Oct. 2015. DOI: https://doi.org/10.48084/etasr.596

A. Nouaiti, A. Saad, A. Mesbahi, M. Khafallah, and M. Reddak, "Design and Test of a New Three-Phase Multilevel Inverter for PV System Applications," Engineering, Technology & Applied Science Research, vol. 9, no. 1, pp. 3846–3851, Feb. 2019. DOI: https://doi.org/10.48084/etasr.2573

N. Ravindran and R. M. Lourde, "An optimum VLSI design of a 16-BIT ALU," in 2015 International Conference on Information and Communication Technology Research (ICTRC), Abu Dhabi, UAE, Feb. 2015, pp. 52–55. DOI: https://doi.org/10.1109/ICTRC.2015.7156419

P. Larsson-Edefors and K. Jeppson, "Timing- and power-driven ALU design training using spreadsheet-based arithmetic exploration," in 10th European Workshop on Microelectronics Education (EWME), Tallinn, Estonia, Feb. 2014, pp. 151–154. DOI: https://doi.org/10.1109/EWME.2014.6877416

H. Kaur and H. Singh, "Advanced ALU with inbuilt selection modules for Genetic Algorithm processor," in 2015 International Conference on Signal Processing, Computing and Control (ISPCC), Waknaghat, India, Sep. 2015, pp. 405–410. DOI: https://doi.org/10.1109/ISPCC.2015.7375065

M. Suzuki et al., "A 1.5-ns 32-b CMOS ALU in double pass-transistor logic," IEEE Journal of Solid-State Circuits, vol. 28, no. 11, pp. 1145–1151, Aug. 1993. DOI: https://doi.org/10.1109/4.245595

T. Y. Chang and M. J. Hsiao, "Carry-select adder using single ripple-carry adder," Electronics Letters, vol. 34, no. 22, pp. 2101–2103, Oct. 1998. DOI: https://doi.org/10.1049/el:19981706

C.-J. Fang, C.-H. Huang, J.-S. Wang, and C.-W. Yeh, "Fast and compact dynamic ripple carry adder design," in Proceedings. IEEE Asia-Pacific Conference on ASIC, Taipei, Taiwan, Dec. 2002, pp. 25–28.

N. Burgess, "Fast Ripple-Carry Adders in Standard-Cell CMOS VLSI," in 2011 IEEE 20th Symposium on Computer Arithmetic, Tuebingen, Germany, Jul. 2011, pp. 103–111. DOI: https://doi.org/10.1109/ARITH.2011.23

Y. Wang and K. K. Parhi, "A unified adder design," in Conference Record of Thirty-Fifth Asilomar Conference on Signals, Systems and Computers (Cat.No.01CH37256), Pacific Grove, CA, USA, Aug. 2001, vol. 1, pp. 177–182.

A. Baliga and D. Yagain, "Design of High Speed Adders Using CMOS and Transmission Gates in Submicron Technology: A Comparative Study," in 2011 Fourth International Conference on Emerging Trends in Engineering Technology, Port Louis, Mauritius, Aug. 2011, pp. 284–289. DOI: https://doi.org/10.1109/ICETET.2011.25

Y. Choi and E. E. Swartzlander, "Speculative Carry Generation With Prefix Adder," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 16, no. 3, pp. 321–326, Mar. 2008. DOI: https://doi.org/10.1109/TVLSI.2007.915502

Downloads

How to Cite

[1]
A. Alrashdi and M. I. Khan, “Design and Comparative Analysis of High Speed and Low Power ALU Using RCA and Sklansky Adders for High-Performance Systems”, Eng. Technol. Appl. Sci. Res., vol. 12, no. 2, pp. 8426–8430, Apr. 2022.

Metrics

Abstract Views: 671
PDF Downloads: 504

Metrics Information

Most read articles by the same author(s)