A Power-Aware Real-Time System for Multi-Video Treatment on FPGA with Dynamic Partial Reconfiguration and Voltage Scaling

Authors

  • L. Kechiche Department of Science and Technology, Taif University, Saudi Arabia
  • L. Touil Laboratory of Electronics and Microelectronics, University of Monastir, Tunisia
  • M. Jemai Laboratory of Electronics and Microelectronics, University of Monastir, Tunisia
  • B. Ouni Networked Objects Control and Communications Systems Lab, University of Sousse, Tunisia
Volume: 12 | Issue: 4 | Pages: 8996-9004 | August 2022 | https://doi.org/10.48084/etasr.5099

Abstract

As the energy consumption is an evaluating factor for System-On-Chip (SOC) design, this paper presents a power-aware architecture for a real-time multi-video system on FPGA. This architecture aims to optimize power consumption for a multi-video system on ARM-based architectures. The proposed architecture uses dynamic reconfiguration and voltage scaling to create a power-aware system for real-time multi-video processing with minimal power dissipation. Dynamic partial reconfiguration was used to optimize the utilization of resources and reduce dynamic power consumption. Voltage scaling was also used to optimize dynamic power consumption, by configuring the blocks to use the minimum necessary voltage for normal operating conditions. The proposed architecture focused on the Zynq platform. The results showed power savings of up to 70% concerning performance and real-time constraints.

Keywords:

power consumption, ZYNQ, ARM A9, dynamic partial reconfiguration, voltage scaling

Downloads

Download data is not yet available.

References

Z. Wang, L. Zheng, Y. Liu, Y. Li, and S. Wang, "Towards Real-Time Multi-Object Tracking," in Computer Vision – ECCV 2020, 2020, pp. 107–122.

A. N. Saeed, "A Machine Learning based Approach for Segmenting Retinal Nerve Images using Artificial Neural Networks," Engineering, Technology & Applied Science Research, vol. 10, no. 4, pp. 5986–5991, Aug. 2020. DOI: https://doi.org/10.48084/etasr.3666

Z. Wang, L. Zheng, Y. Liu, Y. Li, and S. Wang, "Towards Real-Time Multi-Object Tracking," in Computer Vision – ECCV 2020, 2020, pp. 107–122. DOI: https://doi.org/10.1007/978-3-030-58621-8_7

S. Banerjee, A. Bandyopadhyay, A. Mukherjee, A. Das, and R. Bag, "Random Valued Impulse Noise Removal Using Region Based Detection Approach," Engineering, Technology & Applied Science Research, vol. 7, no. 6, pp. 2288–2292, Dec. 2017. DOI: https://doi.org/10.48084/etasr.1609

I. Usman, "An Efficient Depth Estimation Technique Using 3-Trait Luminance Profiling," Engineering, Technology & Applied Science Research, vol. 9, no. 4, pp. 4428–4432, Aug. 2019. DOI: https://doi.org/10.48084/etasr.2857

J. Fowers, G. Brown, P. Cooke, and G. Stitt, "A performance and energy comparison of FPGAs, GPUs, and multicores for sliding-window applications," in Proceedings of the ACM/SIGDA international symposium on Field Programmable Gate Arrays, Monterey, CA, USA, Oct. 2012, pp. 47–56. DOI: https://doi.org/10.1145/2145694.2145704

K. Pauwels, M. Tomasi, J. Diaz Alonso, E. Ros, and M. M. Van Hulle, "A Comparison of FPGA and GPU for Real-Time Phase-Based Optical Flow, Stereo, and Local Image Features," IEEE Transactions on Computers, vol. 61, no. 7, pp. 999–1012, Jul. 2012. DOI: https://doi.org/10.1109/TC.2011.120

G. Mingas and C.-S. Bouganis, "Population-Based MCMC on Multi-Core CPUs, GPUs and FPGAs," IEEE Transactions on Computers, vol. 65, no. 4, pp. 1283–1296, Apr. 2016. DOI: https://doi.org/10.1109/TC.2015.2439256

M. Baklouti, Y. Aydi, Ph. Marquet, J. L. Dekeyser, and M. Abid, "Scalable mpNoC for massively parallel systems – Design and implementation on FPGA," Journal of Systems Architecture, vol. 56, no. 7, pp. 278–292, Jul. 2010. DOI: https://doi.org/10.1016/j.sysarc.2010.04.001

I. Kuon and J. Rose, "Measuring the Gap Between FPGAs and ASICs," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 26, no. 2, pp. 203–215, Oct. 2007. DOI: https://doi.org/10.1109/TCAD.2006.884574

Altera Corporation, "40-nm FPGAs: Architecture and Performance Comparison," White Paper ver. 1.0, Dec. 2008.

A. Kumar and M. Anis, "An analytical state dependent leakage power model for FPGAs," in Proceedings of the Design Automation & Test in Europe Conference, Munich, Germany, Mar. 2006. DOI: https://doi.org/10.1109/DATE.2006.243995

T. Tuan and B. Lai, "Leakage power analysis of a 90nm FPGA," in Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003., San Jose, CA, USA, Sep. 2003, pp. 57–60.

J. Hussein, M. Klein, and M. Hart, "Lowering Power at 28 nm with Xilinx 7 Series FPGAs," Xilinx, White Paper WP389 (v1.1), Jun. 2011.

S. Ben Haj Hassine, M. Jemai, and B. Ouni, "Power and Execution Time Optimization through Hardware Software Partitioning Algorithm for Core Based Embedded System," Journal of Optimization, vol. 2017, Feb. 2017, Art. no. e8624021. DOI: https://doi.org/10.1155/2017/8624021

H. Han, W. Liu, J. Wu, and G. Jiang, "Efficient Algorithm for Hardware/Software Partitioning and Scheduling on MPSoC," Journal of Computers, vol. 8, no. 1, pp. 61–68, Jan. 2013. DOI: https://doi.org/10.4304/jcp.8.1.61-68

L. Kechiche, L. Touil, and B. Ouni, "High-level optimised systems design using hardware-software partitioning," International Journal of Advanced Intelligence Paradigms, vol. 13, no. 3–4, pp. 346–367, Jan. 2019. DOI: https://doi.org/10.1504/IJAIP.2019.10023493

K. Seth, A. Anantaraman, F. Mueller, and E. Rotenberg, "FAST: Frequency-aware static timing analysis," ACM Transactions on Embedded Computing Systems, vol. 5, no. 1, pp. 200–224, Oct. 2006. DOI: https://doi.org/10.1145/1132357.1132364

P. Pillai and K. G. Shin, "Real-time dynamic voltage scaling for low-power embedded operating systems," in Proceedings of the eighteenth ACM symposium on Operating systems principles, Alberta, Canada, Jul. 2001, pp. 89–102. DOI: https://doi.org/10.1145/502059.502044

K. Choi, R. Soma, and M. Pedram, "Fine-grained dynamic voltage and frequency scaling for precise energy and performance tradeoff based on the ratio of off-chip access to on-chip computation times," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 24, no. 1, pp. 18–28, Jan. 2005. DOI: https://doi.org/10.1109/TCAD.2004.839485

C. T. Chow, L. S. M. Tsui, P. H. W. Leong, W. Luk, and S. J. E. Wilton, "Dynamic voltage scaling for commercial FPGAs," in Proceedings. 2005 IEEE International Conference on Field-Programmable Technology, 2005., Singapore, Sep. 2005, pp. 173–180.

J. L. Nunez-Yanez, "Adaptive Voltage Scaling with In-Situ Detectors in Commercial FPGAs," IEEE Transactions on Computers, vol. 64, no. 1, pp. 45–53, Jan. 2015. DOI: https://doi.org/10.1109/TC.2014.2365963

R. Wilhelm et al., "The worst-case execution-time problem overview of methods and survey of tools," ACM Transactions on Embedded Computing Systems (TECS), vol. 7, no. 3, pp. 1–53, May 2008, Art. no. 56. DOI: https://doi.org/10.1145/1347375.1347389

Xilinx, "AXI Reference Guide," Xilinx, UG761 (v14.3), Nov. 2012.

Xilinx, "ZC702 Evaluation Board for the Zynq-7000 XC7Z020 SoC User Guide," Xilinx, UG850 (v1.7), 2019.

Xilinx, "Zynq-7000 SoC Technical Reference Manual," Xilinx, UG585 (v1.11), Sep. 2016.

J. Lucero and Y. Arbel, "Designing High-Performance Video Systems with the Zynq-7000 All Programmable SoC," Xilinx, XAPP792 (v1.0.1), Oct. 2012.

W. Lie and W. Feng-yan, "Dynamic Partial Reconfiguration in FPGAs," in 2009 Third International Symposium on Intelligent Information Technology Application, Nanchang, China, Aug. 2009, vol. 2, pp. 445–448. DOI: https://doi.org/10.1109/IITA.2009.334

F. Dehmelt, "Adaptive (Dynamic) Voltage (Frequency) Scaling—Motivation and Implementation," Texas Instruments, Dallas, TX, USA, Application Report SLVA646, Mar. 2014.

Xilinx, "Zynq‐7000 SoC (Z‐7007S, Z‐7012S, Z‐7014S, Z‐7010, Z‐7015, and Z‐7020): DC and AC Switching Characteristics," Xilinx, Product Specification DS187 (v1.21), Dec. 2020.

A. F. Beldachi and J. L. Nunez-Yanez, "Accurate power control and monitoring in ZYNQ boards," in 2014 24th International Conference on Field Programmable Logic and Applications (FPL), Munich, Germany, Sep. 2014, pp. 1–4. DOI: https://doi.org/10.1109/FPL.2014.6927415

J. Nunez-Yanez, "Adaptive voltage scaling in a heterogeneous FPGA device with memory and logic in-situ detectors," Microprocessors and Microsystems, vol. 51, pp. 227–238, Jun. 2017. DOI: https://doi.org/10.1016/j.micpro.2017.04.021

Xilinx, "Zynq-7000 SoC PCB Design Guide," Xilinx, UG933 (v.1.12), 2019.

A. F. Beldachi and J. L. Nunez-Yanez, "Run-time power and performance scaling in 28 nm FPGAs," IET Computers & Digital Techniques, vol. 8, no. 4, pp. 178–186, 2014. DOI: https://doi.org/10.1049/iet-cdt.2013.0117

J. Luis Nunez-Yanez, M. Hosseinabady, and A. Beldachi, "Energy Optimization in Commercial FPGAs with Voltage, Frequency and Logic Scaling," IEEE Transactions on Computers, vol. 65, no. 5, pp. 1484–1493, Feb. 2016. DOI: https://doi.org/10.1109/TC.2015.2435771

M. Hosseinabady and J. L. Nunez-Yanez, "Run-time power gating in hybrid ARM-FPGA devices," in 2014 24th International Conference on Field Programmable Logic and Applications (FPL), Munich, Germany, Sep. 2014, pp. 1–6. DOI: https://doi.org/10.1109/FPL.2014.6927503

Downloads

How to Cite

[1]
L. Kechiche, L. Touil, M. Jemai, and B. Ouni, “A Power-Aware Real-Time System for Multi-Video Treatment on FPGA with Dynamic Partial Reconfiguration and Voltage Scaling”, Eng. Technol. Appl. Sci. Res., vol. 12, no. 4, pp. 8996–9004, Aug. 2022.

Metrics

Abstract Views: 414
PDF Downloads: 365

Metrics Information

Most read articles by the same author(s)